วันพฤหัสบดีที่ 25 กุมภาพันธ์ พ.ศ. 2559

logic gate 'xor2'

อีกหนึ่ง component ที่ต้องใช้ในการออกแบบวงจร add_sub เลขฐานสอง คือ logic gate xor2

ตารางค่าความจริงของ xor

ที่มา:https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEiEuhzaObAKtLod_66wZS-32fwslIk6Uw_cgJEbhQTTO8934UCom49hD7xEzkbYVsmiuO97BtwwlNvb4l0rbsXdRwhYEU1b2bzWpq1OnY_Gpe5Cqj9eY-j0oW3_G1myvbVNB6vKCScvHMvQ/s1600/xor_gate_truth_table.png

# ถ้า x1กับ x0 เหมือนกัน z จะมีค่าเป็น 0 ถ้า ต่างกัน z จะเป็น 1

code:
vhdl logic gate xor2 

library ieee;
use ieee.std_logic_1164.all;
entity xor2 is
port(
a: in std_logic;
b: in std_logic;
y: out std_logic
);
end xor2;

architecture dataflow of xor2 is
begin
y <= a xor b;

end dataflow;

รูปสัญลักษณ์ของ logic gate xor2


ที่มา:https://upload.wikimedia.org/wikipedia/commons/thumb/0/01/XOR_ANSI.svg/800px-XOR_ANSI.svg.png

ไม่มีความคิดเห็น:

แสดงความคิดเห็น